Skip to Content
Stocks

This Company Is Evolving Into an Equipment Titan

This Company Is Evolving Into an Equipment Titan

Lam Research is a leading vendor of semiconductor fabrication tools. Chipmakers have faced significant cost and complexity challenges, and equipment providers like Lam are vital to making the pursuit more economical with advanced manufacturing tools.

We assign Lam a narrow economic moat. In has a market-leading position in etch--a critical step in the chip-making process where material is selectively removed--as well as the deposition segment of the semiconductor equipment industry.

The combination of these two is critical during the chip fabrication process, and Lam provides customers with some of the most advanced tools in these segments. Lam's leadership position creates scale advantages that fuel research and development spending at levels only Applied Materials and Tokyo Electron can match.

We view Lam's moat trend as positive as a result of the firm's ability to spend on research and development to augment its leadership position. The company has benefited from a heightened requirement for advanced tools, and we expect Lam's served addressable market to expand and improve the firm's competitive positioning among its peers.

Even with a rosy near-term outlook, we think there are headwinds on the horizon for Lam and its peers. While the investment rate for equipment spending should remain positive in 2018, we foresee yields and output improving over time as cutting-edge technologies mature, potentially leading to an oversupply situation in 2019 that could depress prices and curb equipment spending.

Shares of LAM Research are currently trading at a premium to our fair value estimate. We suggest interested investors wait for a larger margin of safety before picking up shares.

More on this Topic

Sponsor Center