Skip to Content
Stock Strategist

A Deeper Look at the Applied Materials-Tokyo Electron Merger

The combination makes plenty of sense and should better position the firm for the future.

 Applied Materials (AMAT) and major Japanese chip equipment maker Tokyo Electron are planning to merge in an all-stock deal that is expected to close in the middle or second half of 2014 and will create a combined company valued at roughly $29 billion. We view the deal favorably, as it should affirm Applied's position as a wide-moat firm in chip equipment. Although the transaction will involve two of the three largest firms in the semiconductor equipment industry, both of which compete with each other in some segments, we view the deal as complementary, given that Applied's strengths tend to be TEL's weaknesses and vice versa. After the merger, Applied-TEL will have a significant presence across many key market segments, which we believe should bolster the combined company's competitive position. In addition, the merger will better position Applied-TEL from a technological standpoint, as the two firms can pool their technical expertise across various process steps involved in chip fabrication, thereby allowing the combined company to better develop next-generation manufacturing tools to help chipmakers scale down Moore's law.

A Merger of Two Majors
Applied Materials and TEL are two of the three largest chip equipment firms in the world. (ASM Lithography (ASML) is the other.) Both firms have broad product portfolios that span a number of key segments in the front-end wafer fab equipment portion of the chip equipment market. Front-end equipment is used to fabricate the circuitries on semiconductor wafers, while back-end tools are used in testing and separating the wafer into individual dies, which are then assembled and packaged into final chips. The front-end wafer fab equipment portion is vastly larger than the back end, with total revenue in 2012 of $32 billion versus $6 billion, according to technology research firm Gartner. Applied and TEL have no presence in the back end.

When looking at the total semiconductor equipment industry, including both the front and back ends, Applied had 14% share in 2012, while TEL had 11%, according to Gartner. After the merger, Applied-TEL will own roughly one fourth of the chip equipment market, making it the runaway number-one player.

Within the front and back ends there are numerous segments, and most companies typically specialize in only one or a few market segments or subsegments. Applied and TEL are exceptions in that they are diversified across many market segments and subsegments (all in the front end), which has allowed each firm to garner double-digit share in the industry. But even then, there are areas, such as photolithography, where Applied and TEL have no presence at all.

Merger Will Expand and Deepen Applied-TEL's Presence Across Segments
We expect Applied to maintain its wide economic moat after merging with TEL. The combination of the firms will bolster the new company's overall competitive position and enhance its status as the nearest thing to a one-stop shop for front-end equipment for chipmakers. Although there appears to be some market segment overlap on the surface because of the firms' broad product portfolios, when digging deeper into the specific segments, investors will discover that Applied tends to be stronger in areas where TEL is weaker or has no presence, and vice versa. As a result, Applied-TEL will have not only a more robust and comprehensive product line, but also greater technical expertise across a larger number of the process steps involved in semiconductor manufacturing. Together, Applied-TEL will have almost one third of the overall front-end wafer fab equipment market.

Of the 12 major segments in the front-end chip equipment market, Applied-TEL will have a presence in 10, with the exception of photolithography, a $6.5 billion segment dominated by ASM Lithography, and strip, which is a relatively small market. Applied-TEL will have market shares of 40% or greater in six of the key segments: track, deposition, etch, chemical mechanical planarization, RTP/oxidation/diffusion, and ion implant. Collectively, these six segments have a market size of $14 billion. Put another way, Applied-TEL will be leader or near leader in six market segments that total $14 billion in size, which is remarkable considering that the entire front-end wafer fab equipment market is worth $32 billion. Of these six segments, we view the $6.0 billion deposition and $3.9 billion etch segments as having the most strategic importance to Applied-TEL because of their relatively large sizes, the two firms' competitive positions in the subsegments in deposition and etch, and next-generation 3-D chip technologies that will create significant opportunities for deposition and etch tool vendors.

Applied-TEL Will Be Better Positioned in Key Etch and Deposition Segments
In the etch market segment, where Applied-TEL would have about 40% combined share, Lam Research (LRCX) has steadily captured share from Applied and TEL over the past decade and had 46% share in 2012, according to Gartner. Applied and TEL remain the second- and third-ranked players, respectively. It should be noted that the etch segment is primarily made up of silicon wafer etch tools, with other etch tools accounting for only a minuscule portion of the market.

In the subsegments of silicon wafer etch, Lam holds the number-one position in silicon etch and metal etch, while TEL is the leader in dielectric etch. While Applied is clearly a laggard, it still holds a somewhat sizable presence in metal etch (where TEL has no presence at all) and silicon etch, though Applied's dielectric etch business now consists mostly of legacy products. By teaming up, Applied and TEL will immediately close the gap with Lam in terms of total etch market share and will have a number-one or -two position in the three major etch subsegments, providing Applied-TEL with a comprehensive etch product portfolio. More important, Applied and TEL believe they will have an opportunity to combine their technical expertise in each of the etch subsegments to strengthen research and development in the area and to be better positioned scalewise to compete with Lam.

In deposition, Applied is the top player, with 47% share in 2012, while TEL's share was 12%, according to Gartner. The other key player is Lam, which merged with major deposition company Novellus in June 2012 to gain a substantial presence in the segment.

The deposition market can be broken into a number of subsegments, with chemical vapor deposition, epitaxy, sputtering, spin-on deposition, and electrochemical deposition being the most relevant for our analysis of Applied and TEL. These are the tools used for fabricating mainstream semiconductor devices (integrated circuits that provide functionality for electronics applications) and account for the bulk of the revenue--$5.5 billion in 2012--of the total deposition segment. The other subsegments, metalorganic CVD and other deposition, had total sales of only $500 million in 2012 and are more niche areas consisting of equipment used to manufacture nontraditional devices (such as light-emitting diodes) that aren't typically viewed as part of the mainstream semiconductor industry. Although Applied has significantly more deposition market share than TEL, it has opportunities to fill out its product portfolio and strengthen its competitive position with the merger.

Aside from being relatively large market segments, etch and deposition are noteworthy, in our opinion, because they will be areas of significant growth in the semiconductor equipment industry over the next several years, driven by the emergence of 3-D semiconductor technologies to extend Moore's law. The two areas in which 3-D technologies are poised to appear are 3-D NAND flash memory and FinFET, or tri-gate, transistors.

The extension to the third dimension in NAND and FinFET transistors makes it strategically important for Applied and TEL to focus on etch and deposition. These 3-D chip technologies will require significantly more deposition and etch process steps in order to form the three-dimensional structures. Further, the technological complexities involved in various deposition and etch processes will increase substantially because of the enhanced precision that will be required. Coupled with the new materials that will be introduced to enable the 3-D technologies, which will add even further complexity to etch and deposition steps, we expect the deposition and etch segments to outperform the overall semiconductor equipment market in the coming years.

Merger Makes Sense From Customer Consolidation and Tech Perspectives
Historically, TEL had a strong competitive position with Japanese chipmakers, while Applied had more of a global presence (excluding Japan). Nonetheless, the decline over the years in the number of semiconductor firms that can afford to have their own chip-fabrication plants has reduced the customer base for the industry. In 2012, three chipmakers--Intel, Samsung, and Taiwan Semiconductor Manufacturing, accounted for just over 50% of total capital spending in the semiconductor industry, while eight chipmakers made up half of total capital expenditures in 2005, according to Gartner.

This customer consolidation has resulted in some large mergers and acquisitions in recent years, such as Applied's acquisition of leading ion implant tool supplier Varian Semiconductor in 2011 and Lam Research's merger with Novellus in 2012. Even though the Applied and TEL merger is sizable, it can also be viewed as another chip equipment deal to create synergies in response to a shrinking customer base.

The Applied-TEL merger also makes sense strategically from a technological perspective. As semiconductor-manufacturing technologies continue to advance, the complexities involved with chip fabrication have been rising significantly. By pooling R&D and being able to deepen technical expertise in various segments across the front-end wafer fab equipment market, Applied-TEL will be better positioned to tackle the increasing technological challenges involved in developing cutting-edge manufacturing tools necessary to support chipmakers as they advance their chip-fabrication technologies.

Such comprehensive understanding of a broader number of process steps involved in semiconductor fabrication is becoming increasingly important, because of the rising interaction among the various steps as chipmakers continue to scale down Moore's law--a key reason for the escalating technical complexities. Therefore, having a bigger toolkit--in terms of technical know-how and equipment for various process steps--should create significant technology synergies for Applied-TEL down the road.

Overall, we believe leading customers will welcome the Applied-TEL deal, as the relationship between a chipmaker and an equipment supplier is highly collaborative. It has become increasingly critical for chipmakers to have a viable, healthy, and profitable supplier base that can continue to develop and provide ever more advanced manufacturing equipment that will enable them to continue pushing the envelope on semiconductor fabrication technologies. The merger will satisfy these conditions, as it will allow Applied-TEL to respond to its consolidating customer base and better develop next-generation chip equipment solutions to support customers in moving down Moore's law. The enhanced technological capabilities of Applied-TEL will be paramount to customers, as it is the technology advances associated with Moore's law that enable faster, more power-efficient, and cheaper chips over time, which in turn expands the number of applications and market size for semiconductors and allows the overall industry to grow.

Applied Is Fairly Valued Now, but Keep an Eye on Cycles for Opportunities
Given that stocks of chip equipment companies tend to fluctuate with industry cycles, we would wait for a cyclical slowdown in business conditions before looking to acquire shares of Applied. Although the semiconductor equipment market has been affected by a cyclical slowdown in calendar 2013, there are signs that chip equipment demand is improving, particularly as memory chipmakers increase capital spending in response to strong conditions in the memory market. Chip equipment stock prices have responded accordingly and are pricing in an upturn in semiconductor equipment industry conditions for calendar 2014. If these expectations aren't met or if the chip equipment industry enters an unexpected cyclical slowdown in the coming quarters, another buying opportunity could arise for Applied's shares. Despite our favorable view of the Applied-TEL merger, we urge investors to be patient for the time being and to wait for a better buying opportunity.

Sponsor Center